Diseño de instrumentos electrónicos mediante bloques de lógica reconfigurable y herramientas libres

Published in: Innovation in Education and Inclusion : Proceedings of the 16th LACCEI International Multi-Conference for Engineering, Education and Technology
Date of Conference: July 18-20, 2018
Location of Conference: Lima, Perú
Authors: Miguel Alberto Risco Castillo (Universidad Nacional de Ingeniería, Facultad de Ciencias, PE)
Full Paper: #374

Abstract:

This paper presents the development and use of free libraries and software tools that can be employed to construct different basic electronics laboratory instruments, as an oscilloscope, wave generator, data recorder, custom instruments, etc. using the flexibility and power of an advance reconfigurable logic device as a field programmable gate array (FPGA). The libraries and tools have a modular design approach so that it is easily adaptable to different kinds and manufacturers of hardware and FPGA, the PC open source software and the hardware description language (HDL) used to code the FPGA are easily extensible to add functionalities or applications.